Skip to main content

The RDL Layer Revolution

Key Takeaways 

  • The Redistribution Layer (RDL) revolutionizes microelectronics packaging by enabling efficient redistribution of I/O connections, reducing size, and enhancing electrical performance.

  • RDL is essential for fan-out packaging, expanding the IC chip and redistributing electrical connections for higher I/O density.

  • RDL structure includes multiple layers of metal traces, insulating materials, and vias for proper signal routing and protection.

2.5D Interposer structure using redistribution layer

2.5D Interposer structure using redistribution layer

In the ever-evolving world of microelectronics, advances in packaging technology play a vital role in enhancing device performance and enabling new applications. One such innovation that has garnered significant attention is the RDL Layer –or redistribution layer. This technology has revolutionized the way integrated circuits (ICs) are interconnected, offering numerous benefits in terms of size reduction, improved electrical performance, and increased design flexibility. Read on as we explore the concept of the RDL layer, its applications, and its unique structure.

RDL Layer Structure Summary

RDL Layer Structure

Description

Overview

RDL consists of metal traces and insulating layers, redistributing I/O connections.

Bottom Layer

Directly contacts the chip's bond pads, bridging the chip and redistribution layer, enabling efficient signal transfer.

Redistribution Traces

Patterned on subsequent layers, expand and redistribute I/O connections.

Vias

Vertical interconnects enable signal passage between layers, vital for proper signal routing.

Insulating Materials

Provide electrical isolation, prevent interference, protect against moisture, contamination, and stress.

What Is an RDL Layer?

The Redistribution Layer (RDL), also known as redistribution line or redistribution wiring, is an integral component of advanced packaging techniques used in microelectronics.   During the manufacturing process of an integrated circuit, there typically is a set of I/O pads that are wirebonded to the package pins. The inclusion of a redistribution layer allows for the creation of additional wiring on the chip, enabling the redistribution of the I/O pads to different locations. 

This capability simplifies chip-to-chip bonding by providing more flexible options for connecting the integrated circuit to other components. Moreover, the RDL layer can be utilized to spread the contact points around the die, allowing for the application of solder balls and spreading the thermal stress of mounting.

The measurement of RDLs is based on line and space, which refer to the width and pitch of a metal trace. Higher-end RDLs may have line and space measurements as small as 2μm, resulting in highly compact and efficient interconnects. The ability to achieve such small dimensions in RDLs allows for higher contact density and enables subsequent packaging steps.

RDL Layer Advantages and Uses

The advantages offered by RDL are manifold

  • An RDL layer allows for a significant reduction in the overall size of the packaged IC. By redistributing the I/O connections onto a larger area throughout various regions of the chip, RDL enables more streamlined designs, making it ideal for space-constrained applications such as mobile devices and wearables. This redistribution also simplifies the process of adding microbumps to the die, enhancing the overall functionality and connectivity.

  • An RDL layer provides improved electrical performance. By shortening the signal paths and minimizing the parasitic effects caused by long traces, RDL enables higher data transfer rates and reduced power consumption. This enhanced electrical performance is crucial in high-speed communication and computing applications, where signal integrity and power efficiency are paramount.

  • An RDL layer offers greater design flexibility. With an RDL layer, designers can optimize the I/O pad placement to meet the specific requirements of the package and the application. This flexibility allows for better thermal management, reduced cross-talk, and improved overall system performance. RDLs find applications in both fan-out and 2.5D/3D packages. As discussed below

RDL Layer Use in Fan-Out Packaging 

One of the primary major applications of RDL is the fanout packaging technique. Fanout packaging refers to a methodology where the IC chip is expanded and redistributed onto a larger package footprint, enabling a higher number of I/O connections. RDL allows for fanout packaging by redistributing the electrical connections from the chip to the package substrate or interposer.

In the early 2000s, a new challenge arose regarding the utilization of the Wafer-Level Packaging (WLP) concept when there was a need for a larger number of I/O or the requirement to maintain the same I/O and pitch during a die shrink. To address this issue "fan-out" packaging was developed, distinguishing itself from the traditional WLP, which is now referred to as "fan-in" packaging as it requires fitting all the I/O within the dimensions of the die.

An example of fan-out WLP, called embedded wafer-level BGA (eWLB), involves the lamination of a carrier wafer onto dicing tape. Known good die (KGD) are then placed face down on the carrier wafer, forming a "reconfigured wafer." The reconfigured wafer is subjected to compression molding, encapsulating it while the wafer carrier and tape are removed. The molding compound serves the purpose of carrying the fan-out area and protecting the chip backside. Following the encapsulation process, a Redistribution Layer (RDL) is created on the exposed die faces. The I/O connections are rerouted, solder balls are placed, and finally, the die are singulated or separated from the wafer.

RDL Layer Structure

The structure of RDL can vary depending on the specific packaging technology employed. However, the fundamental concept remains consistent across different implementations. Typically, RDL consists of multiple layers of metal traces and insulating materials that redistribute the I/O connections.

The bottom layer of RDL is in direct contact with the chip's bond pads, and it serves as a bridge between the chip and the redistribution layer. This layer is responsible for connecting the bond pads to the redistribution traces, ensuring the efficient transfer of signals. The redistribution traces are patterned on subsequent layers, enabling the expansion and redistribution of the I/O connections.

RDL Layer Structure: Vias and Insulation

The structure of RDL layers can also incorporate vias, which are vertical interconnects that facilitate the passage of signals between different layers. Vias play a crucial role in enabling the interconnection of the redistribution traces and the underlying layers, ensuring proper signal routing and minimizing signal losses.

Moreover, the insulating materials used in RDL are carefully selected to provide electrical isolation between the metal traces and prevent unwanted interference. These insulating layers also serve as a barrier to protect the redistribution traces from external factors such as moisture, contamination, and mechanical stresses.

Ready to harness the power of the Redistribution (RDL) Layer in your microelectronics packaging designs? Take your packaging capabilities to new heights with Allegro X Advanced Package Designer. Seamlessly integrate RDL technology into your projects and unlock enhanced performance, compact size, and design flexibility. Explore the possibilities today and revolutionize your packaging solutions

Leading electronics providers rely on Cadence products to optimize power, space, and energy needs for a wide variety of market applications. To learn more about our innovative solutions, talk to our team of experts.